aboutsummaryrefslogtreecommitdiff
path: root/xorg-server/hw/kdrive/src/vga.c
diff options
context:
space:
mode:
Diffstat (limited to 'xorg-server/hw/kdrive/src/vga.c')
-rw-r--r--xorg-server/hw/kdrive/src/vga.c11
1 files changed, 0 insertions, 11 deletions
diff --git a/xorg-server/hw/kdrive/src/vga.c b/xorg-server/hw/kdrive/src/vga.c
index 862f074a2..5a0a9678c 100644
--- a/xorg-server/hw/kdrive/src/vga.c
+++ b/xorg-server/hw/kdrive/src/vga.c
@@ -43,17 +43,6 @@
#define _VgaBytePort(a) (a)
#endif
-#ifdef VXWORKS
-#define _VgaInb(r) 0
-#define _VgaOutb(v,r) 0
-
-#define _VgaByteAddr(a) ((VGAVOL8 *) ((VGA32) (a) ^ 3))
-#define _VgaBytePort(a) 0
-
-#undef stderr
-#define stderr stdout
-
-#endif
#undef VGA_DEBUG_REGISTERS
#ifdef VGA_DEBUG_REGISTERS