From 990bc3f015a4f8fce2eb918375defcd44980a845 Mon Sep 17 00:00:00 2001 From: marha Date: Fri, 8 Jun 2012 09:33:13 +0200 Subject: Used synchronise script to update files --- .../xkeyboard-config/keycodes/digital_vndr/lk | 540 ++++++++++----------- 1 file changed, 270 insertions(+), 270 deletions(-) (limited to 'xorg-server/xkeyboard-config/keycodes/digital_vndr/lk') diff --git a/xorg-server/xkeyboard-config/keycodes/digital_vndr/lk b/xorg-server/xkeyboard-config/keycodes/digital_vndr/lk index 2c1421e88..30b49d311 100644 --- a/xorg-server/xkeyboard-config/keycodes/digital_vndr/lk +++ b/xorg-server/xkeyboard-config/keycodes/digital_vndr/lk @@ -1,270 +1,270 @@ -// -//Copyright (c) 1996 Digital Equipment Corporation -// -//Permission is hereby granted, free of charge, to any person obtaining -//a copy of this software and associated documentation files (the -//"Software"), to deal in the Software without restriction, including -//without limitation the rights to use, copy, modify, merge, publish, -//distribute, sublicense, and sell copies of the Software, and to -//permit persons to whom the Software is furnished to do so, subject to -//the following conditions: -// -//The above copyright notice and this permission notice shall be included -//in all copies or substantial portions of the Software. -// -//THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS -//OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF -//MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. -//IN NO EVENT SHALL DIGITAL EQUIPMENT CORPORATION BE LIABLE FOR ANY CLAIM, -//DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -//OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR -//THE USE OR OTHER DEALINGS IN THE SOFTWARE. -// -//Except as contained in this notice, the name of the Digital Equipment -//Corporation shall not be used in advertising or otherwise to promote -//the sale, use or other dealings in this Software without prior written -//authorization from Digital Equipment Corporation. -// -// HISTORY -// Log -// Revision 1.2 1996/06/18 09:13:22 erik -// use flags correctly, assorted cleanups and consortium fixes -// -// Revision 1.1.6.2 1995/08/07 17:40:34 William_Walker -// Upgrade XKB to protocol 0.62 (dual submit from decx11) -// [1995/08/06 14:06:25 William_Walker] -// -// Revision 1.1.2.4 1995/08/05 15:25:55 William_Walker -// Upgrade to XKB protocol 0.62 -// [1995/08/05 14:39:58 William_Walker] -// -// Revision 1.1.2.3 1995/06/27 12:17:31 William_Walker -// Rename to ISO9995 compliant . -// [1995/06/26 20:24:04 William_Walker] -// -// Revision 1.1.2.2 1995/06/05 19:21:28 William_Walker -// New file. I love keymaps. -// [1995/06/05 18:07:29 William_Walker] -// -// EndLog -// -// @(#)RCSfile: lk Revision: /main/3 (DEC) Date: 1996/01/24 12:13:31 -// -xkb_keycodes "lk_common" { - // "Function" keys - = 86; - = 87; - = 88; - = 89; - = 90; - = 100; - = 101; - = 102; - = 103; - = 104; - = 113; - = 114; - - = 170; - = 167; - = 169; - = 168; - - // "Keypad" keys - = 157; - = 158; - = 159; - = 153; - = 154; - = 155; - = 150; - = 151; - = 152; - = 149; - = 146; - = 148; - - // "Alphanumeric" keys - = 191; - = 192; - = 197; - = 203; - = 208; - = 214; - = 219; - = 224; - = 229; - = 234; - = 239; - = 249; - = 245; - = 188; - - = 190; - = 193; - = 198; - = 204; - = 209; - = 215; - = 220; - = 225; - = 230; - = 235; - = 240; - = 250; - = 246; - = 189; - - = 175; - = 176; - = 194; - = 199; - = 205; - = 210; - = 216; - = 221; - = 226; - = 231; - = 236; - = 242; - = 251; - - = 174; - = 195; - = 200; - = 206; - = 211; - = 217; - = 222; - = 227; - = 232; - = 237; - = 243; - = 171; - - = 212; - - = 255; // Support R5 Lock Down Modifiers - - alias = ; -}; - -xkb_keycodes "lkx01" { - include "digital_vndr/lk(lk_common)" - = 201; - = 115; - = 116; - = 128; - = 129; - = 130; - = 131; - = 124; - = 125; - = 138; - = 139; - = 140; - = 141; - = 142; - = 143; - = 161; - = 162; - = 163; - = 164; - = 160; - = 156; - = 247; - = 177; -}; - -xkb_keycodes "lk201" { - include "digital_vndr/lk(lkx01)" - indicator 4 = "Scroll Lock"; - indicator 3 = "Caps Lock"; - indicator 2 = "Compose"; - indicator 1 = "Wait"; -}; - -xkb_keycodes "lk421" { - include "digital_vndr/lk(lkx01)" - = 172; - = 178; - = 173; -}; - -xkb_keycodes "lk401" { - include "digital_vndr/lk(lk421)" - indicator 4 = "Scroll Lock"; - indicator 3 = "Caps Lock"; -}; - -xkb_keycodes "lk44x" { - include "digital_vndr/lk(lk_common)" - = 85; - = 115; - = 116; - = 124; - = 138; - = 139; - = 140; - = 141; - = 142; - = 143; - = 161; - = 162; - = 163; - = 164; - = 156; - = 172; - = 178; - = 173; -}; - -xkb_keycodes "lk443" { - include "digital_vndr/lk(lk44x)" - = 247; - indicator 3 = "Caps Lock"; - indicator 4 = "Scroll Lock"; - indicator 5 = "Num Lock"; -}; - -xkb_keycodes "lk444" { - include "digital_vndr/lk(lk44x)" - = 201; - = 247; - indicator 3 = "Caps Lock"; - indicator 4 = "Scroll Lock"; - indicator 5 = "Num Lock"; -}; - -// LK201-LT = lk201 -// LK421-AJ = lk421 +AB11 -// LK421-JJ = lk421aj+MUHE+KANJ+HIRA -// LK401-AJ = lk401 -// LK401-BJ = lk401 +MUHE+KANJ+HIRA -// LK401-JJ = lk401bj+AB11 -// LK401-LT = lk401 -// LK441-LT = lk443 - -xkb_keycodes "lk421aj" { - include "digital_vndr/lk(lk421)" - = 252; -}; - -xkb_keycodes "lk421jj" { - include "digital_vndr/lk(lk421aj)" - = 94; - = 95; - = 97; -}; - -xkb_keycodes "lk401bj" { - include "digital_vndr/lk(lk401)" - = 94; - = 95; - = 97; -}; - -xkb_keycodes "lk401jj" { - include "digital_vndr/lk(lk401bj)" - = 252; -}; +// +//Copyright (c) 1996 Digital Equipment Corporation +// +//Permission is hereby granted, free of charge, to any person obtaining +//a copy of this software and associated documentation files (the +//"Software"), to deal in the Software without restriction, including +//without limitation the rights to use, copy, modify, merge, publish, +//distribute, sublicense, and sell copies of the Software, and to +//permit persons to whom the Software is furnished to do so, subject to +//the following conditions: +// +//The above copyright notice and this permission notice shall be included +//in all copies or substantial portions of the Software. +// +//THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS +//OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +//MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +//IN NO EVENT SHALL DIGITAL EQUIPMENT CORPORATION BE LIABLE FOR ANY CLAIM, +//DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR +//OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR +//THE USE OR OTHER DEALINGS IN THE SOFTWARE. +// +//Except as contained in this notice, the name of the Digital Equipment +//Corporation shall not be used in advertising or otherwise to promote +//the sale, use or other dealings in this Software without prior written +//authorization from Digital Equipment Corporation. +// +// HISTORY +// Log +// Revision 1.2 1996/06/18 09:13:22 erik +// use flags correctly, assorted cleanups and consortium fixes +// +// Revision 1.1.6.2 1995/08/07 17:40:34 William_Walker +// Upgrade XKB to protocol 0.62 (dual submit from decx11) +// [1995/08/06 14:06:25 William_Walker] +// +// Revision 1.1.2.4 1995/08/05 15:25:55 William_Walker +// Upgrade to XKB protocol 0.62 +// [1995/08/05 14:39:58 William_Walker] +// +// Revision 1.1.2.3 1995/06/27 12:17:31 William_Walker +// Rename to ISO9995 compliant . +// [1995/06/26 20:24:04 William_Walker] +// +// Revision 1.1.2.2 1995/06/05 19:21:28 William_Walker +// New file. I love keymaps. +// [1995/06/05 18:07:29 William_Walker] +// +// EndLog +// +// @(#)RCSfile: lk Revision: /main/3 (DEC) Date: 1996/01/24 12:13:31 +// +xkb_keycodes "lk_common" { + // "Function" keys + = 86; + = 87; + = 88; + = 89; + = 90; + = 100; + = 101; + = 102; + = 103; + = 104; + = 113; + = 114; + + = 170; + = 167; + = 169; + = 168; + + // "Keypad" keys + = 157; + = 158; + = 159; + = 153; + = 154; + = 155; + = 150; + = 151; + = 152; + = 149; + = 146; + = 148; + + // "Alphanumeric" keys + = 191; + = 192; + = 197; + = 203; + = 208; + = 214; + = 219; + = 224; + = 229; + = 234; + = 239; + = 249; + = 245; + = 188; + + = 190; + = 193; + = 198; + = 204; + = 209; + = 215; + = 220; + = 225; + = 230; + = 235; + = 240; + = 250; + = 246; + = 189; + + = 175; + = 176; + = 194; + = 199; + = 205; + = 210; + = 216; + = 221; + = 226; + = 231; + = 236; + = 242; + = 251; + + = 174; + = 195; + = 200; + = 206; + = 211; + = 217; + = 222; + = 227; + = 232; + = 237; + = 243; + = 171; + + = 212; + + = 255; // Support R5 Lock Down Modifiers + + alias = ; +}; + +xkb_keycodes "lkx01" { + include "digital_vndr/lk(lk_common)" + = 201; + = 115; + = 116; + = 128; + = 129; + = 130; + = 131; + = 124; + = 125; + = 138; + = 139; + = 140; + = 141; + = 142; + = 143; + = 161; + = 162; + = 163; + = 164; + = 160; + = 156; + = 247; + = 177; +}; + +xkb_keycodes "lk201" { + include "digital_vndr/lk(lkx01)" + indicator 4 = "Scroll Lock"; + indicator 3 = "Caps Lock"; + indicator 2 = "Compose"; + indicator 1 = "Wait"; +}; + +xkb_keycodes "lk421" { + include "digital_vndr/lk(lkx01)" + = 172; + = 178; + = 173; +}; + +xkb_keycodes "lk401" { + include "digital_vndr/lk(lk421)" + indicator 4 = "Scroll Lock"; + indicator 3 = "Caps Lock"; +}; + +xkb_keycodes "lk44x" { + include "digital_vndr/lk(lk_common)" + = 85; + = 115; + = 116; + = 124; + = 138; + = 139; + = 140; + = 141; + = 142; + = 143; + = 161; + = 162; + = 163; + = 164; + = 156; + = 172; + = 178; + = 173; +}; + +xkb_keycodes "lk443" { + include "digital_vndr/lk(lk44x)" + = 247; + indicator 3 = "Caps Lock"; + indicator 4 = "Scroll Lock"; + indicator 5 = "Num Lock"; +}; + +xkb_keycodes "lk444" { + include "digital_vndr/lk(lk44x)" + = 201; + = 247; + indicator 3 = "Caps Lock"; + indicator 4 = "Scroll Lock"; + indicator 5 = "Num Lock"; +}; + +// LK201-LT = lk201 +// LK421-AJ = lk421 +AB11 +// LK421-JJ = lk421aj+MUHE+KANJ+HIRA +// LK401-AJ = lk401 +// LK401-BJ = lk401 +MUHE+KANJ+HIRA +// LK401-JJ = lk401bj+AB11 +// LK401-LT = lk401 +// LK441-LT = lk443 + +xkb_keycodes "lk421aj" { + include "digital_vndr/lk(lk421)" + = 252; +}; + +xkb_keycodes "lk421jj" { + include "digital_vndr/lk(lk421aj)" + = 94; + = 95; + = 97; +}; + +xkb_keycodes "lk401bj" { + include "digital_vndr/lk(lk401)" + = 94; + = 95; + = 97; +}; + +xkb_keycodes "lk401jj" { + include "digital_vndr/lk(lk401bj)" + = 252; +}; -- cgit v1.2.3